当前位置: 首页 > 范文大全 > 优秀范文 >

基于Quartus2平台实现fsk调制解调

发布时间:2022-03-24 09:14:38 | 浏览次数:

摘 要:调制解调器是通信系统中的关键设备,其性能的好坏直接关系到整个系统的性能。本设计的FSK调制系统具有抗干扰、抗噪声、抗衰减性能较强、技术复杂程度比较低、成本低等诸多优点,可以在中低速数据传输通信系统中应用。

关键词:调制解调 fsk Quartus2平台

中图分类号:TN915 文献标识码:A 文章编号:1672-3791(2012)12(b)-0008-02

数字调制解调技术是现代通信的一个重要的内容,在数字通信系统中,由于基带数字信号包含了丰富的低频部分,如果要远距离传输,特别是在有限带宽的高频信道无线或光纤信道传输时,必须对数字信号进行载波调制,使基带信号的功率谱搬移到较高的载波频率上,这就称为数字调制(Digital Modulation)。

1 FSK调制解调的基本原理

1.1 FSK的调制

频移键控即FSK(Frequency-Shift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。FSK信号产生的两种方法。

1.1.1 直接调频法

用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的。

1.1.2 频率键控法

频率键控法也称频率选择法。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。(如图1)

键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是UFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。

1.2 FSK的解调

1.2.1 相干检测法

相干检测法的具体解调电路是同步检波器,原理方框图如图2所示。图中两个带通滤波器的作用同于包络检波法,起分路作用。它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决(判决规则同于包络检波法),即可还原出基带数字信号。

1.2.2 过零检测法

检测单位时间内信号经过零点的次数多少,可以用来衡量频率的高低。数字调频波的过零点数随不同载频而异,故检出过零点数可以得到关于频率的差异,这就是过零检测法的基本思想。

2 FSK调制解调的仿真

2.1 FSK调制仿真

设计完成后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。整个时序仿真测试流程一般有建立波形文件、设置波形参数、编辑输入信号、运行仿真器和分析方针波形等步骤。

2.1.1 FSK调制波形仿真

(1)建立仿真测试波形文件。

选择Quartus II主窗口的File菜单的New选项,在弹出的文件类型编辑对话框中,选择Other Files中的Vector Weaveform File项,单击OK按钮,即出现波形文件编辑窗口。

(2)设置仿真时间区域。

对于时序仿真测试来说,将仿真时间设置在一个合理的时间区域内是十分必要的,通常设置的时间区域将视具体的设计项目而定。

设计中整个仿真时间区域设为6us、时间轴周期为40ns,其设置步骤是在Edit菜单中选择End Time,在弹出的窗口中Time处填入6,单位选择us,同理在Gride Size中Time period输入40ns,单击OK按钮,设置结束。

(3)设计信号波形。

单击全屏显示按钮,使之全屏显示,并单击放大缩小按钮,再用鼠标在波形编辑窗口单击(右击为放大,左击为缩小),使仿真坐标处于适当位置。单击窗口的时钟信号clk使之变成蓝色条,再单击右键,选择Value设置中的Count Value项,设置clk为连续变化的二进制值,初始值为“0”。单击start使之变成蓝色,再单击右键,选择Value设置中的Forcing High项,使start变成高电平信号。单击x使之变成蓝色,再单击右左侧Waveform Editing按钮,把x变成高低电平连续变化信号。

(4)所有设置完成后,即可启动仿真器Processing︱Start Simulation直到出现Simulation was successful,仿真结束。仿真波形输出文件PL_FSK Simulation Report将自动弹出如图3所示。注意,Quartus II的仿真波形文件中,波形编辑文件(*.vwf)与波形仿真报告输出文件(Simulation Report)是分开的,而Maxplus II的激励波形编辑文件与波形仿真报告输出文件是合二为一的。

3 结语

传统的FSK调制解调方式都是采用硬件电路实现,电路复杂、调试不便。本文中采用硬件描述语言设计的基于FPGA调制解调器,设计灵活、修改方便,有效地缩小了系统的体积,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性;由于使用FPGA芯片,可随时在线更改逻辑设计及有关参数,充分体现现场可编程器件的优越性。

参考文献

[1]樊昌信,张甫翊,徐炳祥.通信原理[M].5版.北京:国防工业出版社,2001.

[2] 刘昌华.数字逻辑EDA设计与实践[M].北京:国防工业出版社,2006.

[3]苏青,张红.基于CPLD/FPGA技术的数字频率设计[M].北京:清华大学出版社,2007.

[4]黄智伟.FPGA系统设计与实践[M].北京:电子工业出版社,2005.

推荐访问: 解调 调制 平台 Quartus2 fsk
本文标题:基于Quartus2平台实现fsk调制解调
链接地址:http://www.yzmjgc.com/youxiufanwen/2022/0324/36035.html

版权声明:
1.赢正文档网的资料来自互联网以及用户的投稿,用于非商业性学习目的免费阅览。
2.《基于Quartus2平台实现fsk调制解调》一文的著作权归原作者所有,仅供学习参考,转载或引用时请保留版权信息。
3.如果本网所转载内容不慎侵犯了您的权益,请联系我们,我们将会及时删除。

版权所有:赢正文档网 2010-2024 未经授权禁止复制或建立镜像[赢正文档网]所有资源完全免费共享

Powered by 赢正文档网 © All Rights Reserved.。粤ICP备19088565号