当前位置: 首页 > 范文大全 > 优秀范文 >

浅析电子设计中EDA技术的应用

发布时间:2022-03-24 09:14:17 | 浏览次数:

【摘要】伴随着信息化社会的高速发展,EDA技术逐步发展起来,并越来越广泛地被应用到电子设计的各个领域中去,与传统的电子方法相比较,电子设计自动化具有更加强大优势与特点。

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通过VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件电源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

[2]谢长焱,李义府,彭卫韶,何怡刚.电子设计自动化技术的发展与应用[J].吉首大学学报(自然科学版),2005(3):23-26.

推荐访问: 浅析 电子设计 技术 EDA
本文标题:浅析电子设计中EDA技术的应用
链接地址:http://www.yzmjgc.com/youxiufanwen/2022/0324/36032.html

版权声明:
1.赢正文档网的资料来自互联网以及用户的投稿,用于非商业性学习目的免费阅览。
2.《浅析电子设计中EDA技术的应用》一文的著作权归原作者所有,仅供学习参考,转载或引用时请保留版权信息。
3.如果本网所转载内容不慎侵犯了您的权益,请联系我们,我们将会及时删除。

版权所有:赢正文档网 2010-2024 未经授权禁止复制或建立镜像[赢正文档网]所有资源完全免费共享

Powered by 赢正文档网 © All Rights Reserved.。粤ICP备19088565号