当前位置: 首页 > 范文大全 > 优秀范文 >

时序电路

发布时间:2022-03-21 08:22:04 | 浏览次数:

摘要:时序逻辑电路(Sequential Logic Circuit)输出不仅取决于当前输入信号,而且取决于电路之前所处的状态。基本的时序电路单元有触发器(D、JK、T 等触发器)、锁存器、计数器等。VHDL 中,时序电路通过process(clk)和if clk’event and clk = ‘1’ then 边沿检测语句实现触发器风格的电路;具有非完分支的if、case 语句形成锁存器电路。

关键词:

电子钟;VHDL;时序电路

中图分类号:TB

文献标识码:A

文章编号:1672-3198(2010)16-0382-01

推荐访问: 时序 电路
本文标题:时序电路
链接地址:http://www.yzmjgc.com/youxiufanwen/2022/0321/34751.html

版权声明:
1.赢正文档网的资料来自互联网以及用户的投稿,用于非商业性学习目的免费阅览。
2.《时序电路》一文的著作权归原作者所有,仅供学习参考,转载或引用时请保留版权信息。
3.如果本网所转载内容不慎侵犯了您的权益,请联系我们,我们将会及时删除。

版权所有:赢正文档网 2010-2024 未经授权禁止复制或建立镜像[赢正文档网]所有资源完全免费共享

Powered by 赢正文档网 © All Rights Reserved.。粤ICP备19088565号